Die Suche ergab 1104 Treffer
- Mo Dez 04, 2023 8:36 pm
- Forum: informatik
- Thema: Aufgaben und Übungen, 00001
- Antworten: 268
- Zugriffe: 14272
Re: Aufgaben und Übungen, 00001
Ist noch ein Fehler drin library ieee; use ieee.std_logic_1164.all; entity meinausgangsschaltnetz0043 is port ( a, b, x: in std_logic; y: out std_logic ); end; architecture verhalten of meinausgangsschaltnetz0043 is begin y <= (not b and a and not x); end; library ieee; use ieee.std_logic_1164.all; ...