Die Suche ergab 1424 Treffer

von davidvajda.de
Di Feb 27, 2024 4:59 am
Forum: Starting the MIPS-Project
Thema: OK, let's beginn
Antworten: 10
Zugriffe: 2749

Re: OK, let's beginn

Ich schreibe in VHDL gleich den Multiplexer. Ich brauche ja vier Multiplexer. 32x2:1 Mulitplexer Das ist eigentlich ganz einfach. Ich mache ein Mal 32 Bit und noch mal. Und bei beiden nehme ich AND. Mit FOR-Schleife Jetzt brauche ich noch einen 32:32:1 Multiplexer Wegen dem Registerblock. Ich habe j...