Die Suche ergab 1424 Treffer

von davidvajda.de
Di Feb 27, 2024 5:24 am
Forum: Starting the MIPS-Project
Thema: OK, let's beginn
Antworten: 10
Zugriffe: 1391

Re: OK, let's beginn

Jetzt können wir gerade so weiter machen, aber ich mache ja jeden Tag ein bisschen MIPS. Jetzt brauchen wir ein Array von Arrays in VHDL. Das muss ich erst nachschauen. Weil, wenn wir 32 Register je 32 bit haben, sprechen wir die nicht an 'a', 'b' und so weiter. sondern eben nummeriert Das ist ein T...