Die Suche ergab 1424 Treffer

von davidvajda.de
Di Feb 27, 2024 5:19 am
Forum: Starting the MIPS-Project
Thema: OK, let's beginn
Antworten: 10
Zugriffe: 1720

Re: OK, let's beginn

OK, der "Standard" MUX scheint zu tun https://davidvajda.de/david4/2024-02-27/Screenshot_20240227_051613.png library ieee; use ieee.std_logic_1164.all; entity rslatch is port ( r, s: in std_logic; q: out std_logic ); end; architecture verhalten of rslatch is signal q1, q2: std_logic; signa...